Questo sito contiene link di affiliazione per cui può essere compensato

Home » Hi-Tech » Hardware e Periferiche » Intel, innovazioni dei processi produttivi per tornare leader entro il 2025

Intel, innovazioni dei processi produttivi per tornare leader entro il 2025

Intel ha presentato una dettagliata roadmap di processo e di tecnologie di packaging, presentando quelle che dovrebbero essere una serie di radicali innovazioni che saranno alla base dei prodotti dell’azienda da qui al 2025 e oltre.

È stata annunciata RibbonFET, la prima nuova architettura di transistor in oltre 10 anni, e PowerVia, la prima soluzione di alimentazione attraverso il backside nel settore, e l’azienda ha evidenziato la rapida adozione della litografia extreme ultraviolet (EUV) di nuova generazione, chiamata High Numerical Aperture (High NA) EUV, spiegando che sta per ricevere il primo strumento di produzione High NA EUV del settore.

“Stiamo accelerando la nostra roadmap di innovazione per assicurarci di essere sul percorso verso la leadership nelle prestazioni del processo produttivo entro il 2025”, ha dichiarato il CEO Pat Gelsinger durante il webcast “Intel Accelerated”. “Forti di un programma di innovazione senza paragoni, portiamo avanzamenti tecnologici a partire dal transistor fino al livello di sistema. Finché non sarà esaurita la tavola periodica degli elementi, non smetteremo di perseguire la Legge di Moore nel nostro percorso di innovazione con la magia del silicio”.

Il settore tecnologico ha da tempo riconosciuto che la tradizionale nomenclatura dei nodi di processo basata sui nanometri ha smesso di corrispondere alle effettive misure di lunghezza dei gate nel 1997.

Intel innovazioni dei processi produttivi per tornare leader entro il 2025

Intel ha presentato una nuova nomenclatura per i nodi di processo, indicata come in grado di offrire ai clienti una visione più precisa dei nodi di processo esistenti nel settore, innovazioni che, nei piani dell’azienda, saranno importanti anche per i clienti delle sue fonderie (sono, tra l’altro stati annunciato i primi due clienti dell’Intel Foundry Services).

I tecnici di Intel hanno descritto la seguente roadmap con la nuova nomenclatura dei nodi e le innovazioni alla base di ciascuno di essi:

  • Intel 7 offre un aumento di prestazioni per watt compreso tra il 10% e il 15% circa rispetto a Intel SuperFin a 10 nm, in base alle ottimizzazioni dei transistor FinFET. Intel 7 sarà presente in prodotti quali Alder Lake per client nel 2021 e Sapphire Rapids per data center, per cui è previsto l’inizio della produzione nel primo trimestre del 2022.
  • Intel 4 abbraccia appieno la litografia EUV per stampare elementi di dimensioni ridotte utilizzando luce a lunghezza d’onda ultracorta. Con un aumento delle prestazioni per Watt di circa il 20% insieme a miglioramenti nella superficie, Intel 4 dovrebbe essere pronto per la produzione nella seconda metà del 2022 con i prodotti previsti in arrivo sul mercato nel 2023, tra cui Meteor Lake per client e Granite Rapids per data center.
  • Intel 3 sfrutta ulteriori ottimizzazioni di FinFET e un aumento dell’EUV per fornire un aumento di circa il 18% nelle prestazioni per watt rispetto a Intel 4, oltre a ulteriori miglioramenti nella superficie. Intel 3 sarà pronto per per entrare in produzione nella seconda metà del 2023.
  • Intel 20A inaugura l’era dell’angstrom con due tecnologie innovative, RibbonFET e PowerVia. RibbonFET, l’implementazione di Intel di un transistor con gate su tutti i lati, sarà la prima nuova architettura di transistor dell’azienda da quando ha introdotto FinFET nel 2011. Questa tecnologia offre velocità di commutazione dei transistor più elevate a parità di corrente di azionamento rispetto a chip con molteplici alette, ma con un ingombro più ridotto. PowerVia è l’esclusiva implementazione di Intel di alimentazione dal retro, che ottimizza la trasmissione del segnale eliminando la necessità far passare l’alimentazione al lato anteriore del wafer. Si prevede che la produzione di Intel 20A inizi nel 2024. Uno dei primi clienti interessati alla tecnologa 20A è Qualcomm.
  • 2025 e oltre: oltre a Intel 20A, Intel 18A è già in fase di sviluppo per l’inizio del 2025 con perfezionamenti a RibbonFET che promettono un ulteriore importante salto nelle prestazioni dei transistor. Intel sta inoltre lavorando per definire, costruire e distribuire High NA EUV di nuova generazione e prevede di ricevere il primo strumento di produzione nel settore. Intel sta collaborando strettamente con ASML (specializzata in questo tipo di forniture) per assicurare il successo di questa svolta per il settore che supera l’attuale generazione di EUV.

Intel innovazioni dei processi produttivi per tornare leader entro il 2025

In sintesi, i prossimi nomi dovrebbero consentire di collocare più accuratamente i prodotti Intel nel contesto globale: i processori prodotti con tecnologia Intel 7 corrisponderanno a processori a 7 nm di altre fonderie.. Resta il fatto che Intel è in ritardo rispetto ad altri. TSMC produce chip a 5 nm dallo scorso anno, con ovvi vantaggi per aziende come Apple. TSMC non intende fermarsi qui e punta a 3nm a partire dal prossimo anno  Intel non può più permettersi ritardi ed ertori se vuole riprendere lo scettro nel 2025.

Offerte Apple e Tecnologia

Le offerte dell'ultimo minuto le trovi nel nostro canale Telegram

Top offerte Apple su Amazon

Sconto pazzesco del 22% per iPhone 14 da 256 GB, solo 899€

iPhone 14 128 GB scontato di 220 euro su Amazon

Su Amazon torna in sconto e va vicino al minimo di sempre l'iPhone 14 nel taglio da 128 GB. Lo pagate 679 € invece che 870 € in quasi tutti i colori

Ultimi articoli

Pubblicità